开发者

CRC-16 Computation in IEEE 802.11b

I would like to understand the CRC compuation in the Header field of IEEE 802.11b PHY. I read in the literature, CRC-16 is used and the 1's compliment of the reminder of the (e SIGNAL, SERVICE, and LENGTH by Generator Polynomial)

But I want to understand, given a bit stream, how this computation is done.

I am trying to understand, how to implement in Hardware using Shift register开发者_JS百科s or in Matlab.

Any suggestions or useful pointers in this direction would be helpful.

Thanks,

Kiran


Xilinx has an app note and source code for the CRC in IEEE 802.3.


Here is a link to an article on CRC generation for hardware description languages (Verilog , VHDL)

0

上一篇:

下一篇:

精彩评论

暂无评论...
验证码 换一张
取 消

最新问答

问答排行榜