开发者

warning message at the prompt

I'm tr开发者_C百科ying to simulate a testbench. I'm not getting the waveforms also i'm getting the following warning message at the prompt. Is it because of the=is warning that my code does not simulate?

** Warning: (vsim-WLF-5000) WLF file currently in use: vsim.wlf
#           File in use by:   Hostname:   ProcessID: 0
#           Attempting to use alternate WLF file "./wlftazxa4k".
# ** Warning: (vsim-WLF-5001) Could not open WLF file: vsim.wlf
#           Using alternate file: ./wlftazxa4k
run

I'm also includng my testbench as follows:

    module dec_tb;
    reg [63:0] FROM_IF_ID;
    reg CLK;
    wire [117:0] TO_ID_HMIC;
    integer k=0;
    inst_decode id(.from_if_id(FROM_IF_ID),.clk(CLK),.to_id_hmic(TO_ID_HMIC));

    initial 
     begin
       $monitor($time,"clk=%b, fifid=%b, tidhm=%b",CLK,FROM_IF_ID,TO_ID_HMIC);
       $display("qf");
       CLK= 0;
       FROM_IF_ID[35:32]=4'b1100; 
       FROM_IF_ID[63:36]=28'b0000_10000_00100_01000_00010_0001;
     end

    always 
     begin
     #10 CLK= ~CLK;
     end
    always @(posedge CLK)
      begin
      $display (" TO_ID_HMIC= %b", TO_ID_HMIC);
      FROM_IF_ID[k] =~FROM_IF_ID[k]; 
      k=k+1;
      #500 $finish;
      end
    endmodule


If that's the only message, then the simulation ran, and you can find the waves in the specified alternate file (wlftazxa4k).

If you want to fix the problem so the waves show up in vsim.wlf, here are a few things to try:

  • Make sure you don't have any stray modelsim processes running
  • Make sure you don't have vsim.wlf open in a waveform viewer
  • Delete vsim.wlf manually and rerun


I had this problem also. As it turns out, the directory that my vsim files were located in was full (school partition, 600mb allowed space). After clearing out some old files i had lying around, the program worked fine.


Your your quiz:

  1. Run you simulation
  2. Quit your simulation with gui or command: quit -sim
  3. Goto step 1 and have fun.

You can remove cache file create by modelsim.

0

上一篇:

下一篇:

精彩评论

暂无评论...
验证码 换一张
取 消

最新问答

问答排行榜